summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rwxr-xr-xconfigure6
-rw-r--r--libvo/video_out.c9
2 files changed, 8 insertions, 7 deletions
diff --git a/configure b/configure
index f4d1367cb8..540f8739ee 100755
--- a/configure
+++ b/configure
@@ -1453,14 +1453,16 @@ fi
if [ $_dga = yes ]; then
_dga='#define HAVE_DGA'
- _vosrc=$_vosrc' vo_dga.c vo_fsdga.c'
+# _vosrc=$_vosrc' vo_dga.c vo_fsdga.c'
+ _vosrc=$_vosrc' vo_dga.c'
else
_dga='#undef HAVE_DGA'
fi
if [ $_dga2 = yes ]; then
_dga2='#define HAVE_DGA2'
- _vosrc=$_vosrc' vo_dga.c vo_fsdga.c'
+# _vosrc=$_vosrc' vo_dga.c vo_fsdga.c'
+ _vosrc=$_vosrc' vo_dga.c'
else
_dga2='#undef HAVE_DGA2'
fi
diff --git a/libvo/video_out.c b/libvo/video_out.c
index 3077af609e..775b9fb8dd 100644
--- a/libvo/video_out.c
+++ b/libvo/video_out.c
@@ -72,7 +72,6 @@ vo_functions_t* video_out_drivers[] =
&video_out_xmga,
#endif
#ifdef HAVE_MGA
-
&video_out_mga,
#endif
#ifdef HAVE_SYNCFB
@@ -92,11 +91,14 @@ vo_functions_t* video_out_drivers[] =
#endif
#ifdef HAVE_DGA
&video_out_dga,
- &video_out_fsdga,
+// &video_out_fsdga,
#endif
#ifdef HAVE_SDL
&video_out_sdl,
#endif
+#ifdef HAVE_GGI
+ &video_out_ggi,
+#endif
#ifdef HAVE_FBDEV
&video_out_fbdev,
#endif
@@ -110,9 +112,6 @@ vo_functions_t* video_out_drivers[] =
&video_out_odivx,
&video_out_pgm,
&video_out_md5,
-#ifdef HAVE_GGI
- &video_out_ggi,
-#endif
NULL
};